Sophie

Sophie

distrib > Fedora > 13 > i386 > by-pkgid > 507bc49db4d931250bab05d0619a9dd6 > files > 275

gplcver-2.12a-1.fc13.i686.rpm

module top;

 reg [7:0] a;
 reg signed [7:0] b;

 initial begin
  a = $unsigned(-4);
  b = $signed(4'b1100);

  $display("a=%b", a);
  $display("b=%b (%d)", b, b);
 end

endmodule