Sophie

Sophie

distrib > Fedora > 13 > i386 > by-pkgid > 507bc49db4d931250bab05d0619a9dd6 > files > 129

gplcver-2.12a-1.fc13.i686.rpm

`timescale 10 ns / 1 ns 
module test;
 reg set;
 wire a, b, c;
 parameter p = 1.55;

 and #p i1(a, b, c);

 initial
  begin
   $monitor($realtime,,"set=", set);
   #p set = 0;
   #p set = 1;
  end
endmodule